ID:2323056
 
Resolved
Overloading the % operator did not work correctly.
BYOND Version:512.1395
Operating System:Windows 10 Home 64-bit
Web Browser:Firefox 57.0
Applies to:Dream Daemon
Status: Resolved (512.1399)

This issue has been resolved.
Descriptive Problem Summary:
Once the modulus operator is overloaded using the operator%() method, you will always get a division by zero exception.

Code Snippet (if applicable) to Reproduce Problem:
foo/proc/operator%(a)

mob/Login()
..()

var/foo
F = new
G = new

world << (F % G)


Expected Results:
The operator functions as specified by the overloaded method.

Actual Results:
A division by zero exception occurs.

Workarounds:
Using the assignment version of the operator seems to work fine, but this isn't a great workaround.
Lummox JR resolved issue with message:
Overloading the % operator did not work correctly.